Friday, March 02, 2012

Latched Logic

Latched Logic

SystemVerilog also provides a special always_latch procedure for modeling latched logic behavior. For example:

always_latch
if(ck) q <= d;

The always_latch procedure determines its sensitivity and executes identically to the always_comb procedure.

Software tools can perform additional checks to warn if the behavior within an always_latch procedure does not represent latched logic.

No comments:

Post a Comment

Popular Posts